LayTec rolls out innovative Epi-Curve TT for in-situ monitor
LayTec, a Germany-based provider of in-situ optical metrology systems for thin-film processes, has rolled out the latest version of EpiCurve TT which has been installed on an Aixtron 200-4 RF/S reactor with only 5 mm hole in the ceiling at Otto-von-Guericke University of Magdeburg (Germany).
 
The team of Prof. Alois Krost and Prof. Armin Dadgar uses the tool in development projects for in-situ monitoring of various GaN based optoelectronic and power electronic device structures on silicon and sapphire substrates. This Epi-Curve TT is equipped with a blue laser (405 nm) for wafer bow control and a triple wavelength reflectance (405, 633 and 950 nm) for a precise monitoring of MQW layers, AlN interlayers, AlGaN buffer and further features.
 
After several years of experience with LayTec systems, Prof. Krost is convinced that “EpiCurve TT is the best in-situ tool available on the market to control strain, temperature uniformity, MQW formation and surface morphology during III-N device growth. “LayTec CTO Dr. Kolja Haberland thanked the team in Magdeburg for the long year research cooperation and for testing the new product in the field.

Related Articles